// ============================================================== // Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC v2019.2.1 (64-bit) // Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. // ============================================================== `timescale 1ns/1ps module fw_binned_fptruncg8j #(parameter ID = 9, NUM_STAGE = 1, din0_WIDTH = 64, dout_WIDTH = 32 )( input wire [din0_WIDTH-1:0] din0, output wire [dout_WIDTH-1:0] dout ); //------------------------Local signal------------------- wire a_tvalid; wire [63:0] a_tdata; wire r_tvalid; wire [31:0] r_tdata; //------------------------Instantiation------------------ fw_binned_ap_fptrunc_0_no_dsp_64 fw_binned_ap_fptrunc_0_no_dsp_64_u ( .s_axis_a_tvalid ( a_tvalid ), .s_axis_a_tdata ( a_tdata ), .m_axis_result_tvalid ( r_tvalid ), .m_axis_result_tdata ( r_tdata ) ); //------------------------Body--------------------------- assign a_tvalid = 1'b1; assign a_tdata = din0; assign dout = r_tdata; endmodule