-- timestamp.vhd generated by mkfiles/timestamp.tcl: -- Thu May 30 15:12:58 BST 2013 = 1369923178 = 0x51A75E6A library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity timestamp is port( ts_o : out std_logic_vector (31 downto 0)); end timestamp ; architecture rtl of timestamp is begin ts_o <= conv_std_logic_vector(1369923178, 32); end architecture rtl;