Generation Settings
Component declarations | yes |
Configurations | in separate file |
add pragmas |
exclude view name |
Declarations
Ports:
clk : std_logic
rst : std_logic
-- registers
reg : t_reg_bus
command : std_logic_vector(15 DOWNTO 0)
tb_bcount_o : std_logic_vector(15 downto 0)
tb_tcount_o : std_logic_vector(15 downto 0)
trig40_o : std_logic
bcid_o : std_logic_vector(11 DOWNTO 0)
bcid_l1a_o : std_logic_vector(11 DOWNTO 0)
lemo_ecr_i : std_logic
rawsigs_i : std_logic_vector(15 downto 0)
outsigs_o : std_logic_vector(15 downto 0)
trg_all_mask_o : std_logic_vector(15 downto 0)
busy_all_o : std_logic
tb_flags_o : std_logic_vector(7 DOWNTO 0)
trig_out_o : std_logic
pretrig_o : std_logic
ocraw_start_i : std_logic
l0id_o : std_logic_vector(31 downto 0)
dbg_trig_ext_o : std_logic
lemo_bcr_i : std_logic
l0id_l1_o : std_logic_vector(7 downto 0)
busy_ext_o : std_logic
ecr_all_o : std_logic
bcr_all_o : std_logic
tlu_busy_o : std_logic
tlu_tclk_o : std_logic
lld_i : std_logic
-- locallink tx interface
lls_o : t_llsrc
s40 : std_logic
tick : std_logic_vector(34 downto 0)
tog : std_logic_vector(34 downto 0)
tlu_reset_i : std_logic
busy_ro_i : std_logic
busy_ext_i : std_logic
trig80_o : std_logic
ptrig_ext0_i : std_logic_vector(7 downto 0)
tlu_ptrig_i : std_logic_vector(7 downto 0)
Diagram Signals:
signal HI : std_logic
signal bcid : std_logic_vector(11 DOWNTO 0)
signal trig80 : std_logic
signal tb_testflag : std_logic
signal trig_cmd : std_logic
signal ecr_cmd : std_logic
signal bcr_cmd : std_logic
signal trig_burster : std_logic
signal reg_int_ena : std_logic_vector(15 DOWNTO 0)
signal ecr_ext : std_logic
signal trig_cmd0 : std_logic
signal reg_control : std_logic_vector(15 DOWNTO 0)
signal LO : std_logic
signal reg_outsigs : std_logic_vector(15 downto 0)
signal trig40 : std_logic
signal l1_auto : std_logic
signal trig_all : std_logic
signal ecr_dec : std_logic
signal bcr_dec : std_logic
signal pretrig : std_logic
signal trig_ext : std_logic
signal ecr_genc : std_logic
signal bcr_genc : std_logic
signal com_all : std_logic
signal ecr_ecb : std_logic
signal ecr_all : std_logic
signal bcr_ext : std_logic
signal bcr_ecb : std_logic
signal bcr_all : std_logic
signal com_genc : std_logic
signal ocraw_start40 : std_logic
signal l0id : std_logic_vector(31 downto 0)
signal tlu_trig_sync : std_logic
signal trid_tlu : std_logic_vector(15 downto 0)
signal trig_tlu : std_logic
signal busy : std_logic
signal trig_bus : std_logic_vector(15 downto 0)
signal trid_valid : std_logic
signal trid_new : std_logic
signal td_pkt_valid : std_logic
signal td_pkt_rdack : std_logic
signal td_packet : std_logic_vector(63 downto 0)
signal trig_src : std_logic_vector(3 downto 0)
signal ZERO3 : std_logic_vector(2 downto 0)
signal ZERO2 : std_logic_vector(1 downto 0)
signal ZERO4 : std_logic_vector(3 downto 0)
signal ZERO8 : std_logic_vector(7 downto 0)
signal ZERO13 : std_logic_vector(12 downto 0)
signal ZERO16 : std_logic_vector(15 downto 0)
signal ts_count : std_logic_vector(39 downto 0)
signal s40_n : std_logic
signal reg_control1 : std_logic_vector(15 DOWNTO 0)
signal reg_out_ena : std_logic_vector(15 DOWNTO 0)
signal tlu_debug_trig_i : std_logic
signal trigdat_en : std_logic
signal ecr_ins : std_logic
signal tlu_ecr : std_logic
signal busy_trig : std_logic
signal busy_tlu : std_logic
signal busy_ext_q : std_logic := '0'
signal busy_reg : std_logic
signal tdc_en_i : std_logic
signal tdc_new : std_logic
signal tdc_data : std_logic_vector(39 downto 0)
Pre User:
Post User:
Package List
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library utils;
use utils.pkg_types.all;
library hsio;
use hsio.pkg_core_globals.all;
Bundles