-- -- 4b Symbol Enumerator for nicer sim output -- -- Matt Warren July 2015 -- -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library readout130; use readout130.pkg_star_flink.all; entity star_flink_sim_spy is port( symbol_i : in std_logic_vector(3 downto 0); spysymb_o : out t_usymbol ); -- Declarations end star_flink_sim_spy; architecture rtl of star_flink_sim_spy is signal spysymb : t_usymbol; begin with symbol_i select spysymb <= ID when sIdle, BR when sBCR, LS when sLS, BL when sBCRLS, PR when sPR, LP when sLP, D0 when sDATA0, D1 when sDATA1, D2 when sDATA2, D3 when sDATA3, D4 when sDATA4, D5 when sDATA5, D6 when sDATA6, D7 when sDATA7, AA when "0000", ZZ when others; spysymb_o <= spysymb; end rtl;