-- VHDL Entity eth_gmii.marvell_loopback_tb.symbol -- -- Created: -- by - Matt Warren -- at - 15:39:50 07/27/09 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2008.1b (Build 7) -- entity marvell_loopback_tb is -- Declarations end marvell_loopback_tb ; -- -- VHDL Architecture eth_gmii.marvell_loopback_tb.struct -- -- Created: -- by - Matt Warren -- at - 15:39:50 07/27/09 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2008.1b (Build 7) -- library ieee; use ieee.std_logic_1164.ALL; use ieee.std_logic_arith.ALL; use ieee.std_logic_unsigned.ALL; use ieee.numeric_std.all; architecture struct of marvell_loopback_tb is -- Architecture declarations -- Internal signal declarations signal clk125 : std_logic; -- ETH_GTX_CLK signal clk200 : std_logic; signal clk50 : std_logic; -- ETH_GTX_CLK signal configuration_busy : boolean; signal eth_coma_o : std_logic; -- ETH_GTX_CLK signal eth_int_ni : std_logic; -- ETH_CRS signal eth_md_io : std_logic; -- ETH_MDIO -- MDIO Interface - EMAC0 signal eth_mdc_o : std_logic; -- ETH_MDC signal eth_reset_no : std_logic; -- ETH_GTX_CLK signal gmii_col_i : std_logic; -- ETH_COL signal gmii_crs_i : std_logic; -- ETH_CRS signal gmii_gtx_clk_o : std_logic; -- ETH_GTX_CLK signal gmii_rx_clk_i : std_logic; signal gmii_rx_dv_i : std_logic; -- ETH_DV signal gmii_rx_er_i : std_logic; -- ETH_ER signal gmii_rxd_i : std_logic_vector(7 downto 0); -- ETH_RXD -- client interface clocking signals - EMAC0 signal gmii_tx_clk_o : std_logic; signal gmii_tx_en_o : std_logic; -- ETH_EN signal gmii_tx_er_o : std_logic; -- ETH_ER -- GMII Interface - EMAC0 signal gmii_txd_o : std_logic_vector(7 downto 0); -- ETH_TXD signal led_rx_data_valid_o : std_logic; signal led_rx_framedrop_o : std_logic; signal led_rx_overflow_o : std_logic; signal led_tx_ack_o : std_logic; signal led_tx_collision_o : std_logic; signal led_tx_overflow_o : std_logic; signal led_tx_retransmit_o : std_logic; signal mii_tx_clk_i : std_logic; --*** missing on HSIO signal monitor_finished_100m : boolean; signal monitor_finished_10m : boolean; signal monitor_finished_1g : boolean; ----------------------------------------------------------------------- -- Signal Declarations ----------------------------------------------------------------------- -- Global asynchronous reset signal rst : std_logic; -- *** mod start signal rx_fifo_stat_o : std_logic_vector(3 downto 0); -- *** mod start signal tx_fifo_stat_o : std_logic_vector(3 downto 0); -- Component Declarations component emac0_phy_tb port ( configuration_busy : in boolean; gmii_tx_clk : in std_logic; gmii_tx_en : in std_logic; gmii_tx_er : in std_logic; gmii_txd : in std_logic_vector (7 downto 0); gmii_col : out std_logic; gmii_crs : out std_logic; gmii_rx_clk : out std_logic; gmii_rx_dv : out std_logic; gmii_rx_er : out std_logic; gmii_rxd : out std_logic_vector (7 downto 0); mii_tx_clk : out std_logic; monitor_finished_100m : out boolean; monitor_finished_10m : out boolean; monitor_finished_1g : out boolean ); end component; component marvell_loopback port ( eth_reset_no : out std_logic ; -- ETH_GTX_CLK eth_coma_o : out std_logic ; -- ETH_GTX_CLK clk125 : in std_logic ; -- ETH_GTX_CLK clk50 : in std_logic ; -- ETH_GTX_CLK gmii_gtx_clk_o : out std_logic ; -- ETH_GTX_CLK -- GMII Interface - EMAC0 gmii_txd_o : out std_logic_vector (7 downto 0); -- ETH_TXD gmii_tx_en_o : out std_logic ; -- ETH_EN gmii_tx_er_o : out std_logic ; -- ETH_ER gmii_rxd_i : in std_logic_vector (7 downto 0); -- ETH_RXD gmii_rx_dv_i : in std_logic ; -- ETH_DV gmii_rx_er_i : in std_logic ; -- ETH_ER gmii_col_i : in std_logic ; -- ETH_COL eth_int_ni : in std_logic ; -- ETH_CRS gmii_crs_i : in std_logic ; -- ETH_CRS -- MDIO Interface - EMAC0 eth_mdc_o : out std_logic ; -- ETH_MDC eth_md_io : inout std_logic ; -- ETH_MDIO ----------------------------------------------------------------------- -- Signal Declarations ----------------------------------------------------------------------- -- Global asynchronous reset rst : in std_logic ; gmii_rx_clk_i : in std_logic ; clk200 : in std_logic ; led_rx_data_valid_o : out std_logic ; led_rx_framedrop_o : out std_logic ; led_tx_ack_o : out std_logic ; led_tx_collision_o : out std_logic ; led_tx_retransmit_o : out std_logic ; mii_tx_clk_i : in std_logic ; -- *** mod start tx_fifo_stat_o : out std_logic_vector (3 downto 0); -- *** mod start rx_fifo_stat_o : out std_logic_vector (3 downto 0); led_rx_overflow_o : out std_logic ; led_tx_overflow_o : out std_logic ); end component; component marvell_loopback_tester port ( clk125 : out std_logic ; -- ETH_GTX_CLK clk200 : out std_logic ; clk50 : out std_logic ; configuration_busy : out boolean ; eth_int_ni : out std_logic ; ----------------------------------------------------------------------- -- Signal Declarations ----------------------------------------------------------------------- -- Global asynchronous reset rst : out std_logic ; eth_md_io : inout std_logic -- ETH_MDIO ); end component; begin -- Instance port mappings. Uethtst : emac0_phy_tb port map ( gmii_txd => gmii_txd_o, gmii_tx_en => gmii_tx_en_o, gmii_tx_er => gmii_tx_er_o, gmii_tx_clk => gmii_tx_clk_o, gmii_rxd => gmii_rxd_i, gmii_rx_dv => gmii_rx_dv_i, gmii_rx_er => gmii_rx_er_i, gmii_rx_clk => gmii_rx_clk_i, gmii_col => gmii_col_i, gmii_crs => gmii_crs_i, mii_tx_clk => mii_tx_clk_i, configuration_busy => configuration_busy, monitor_finished_1g => monitor_finished_1g, monitor_finished_100m => monitor_finished_100m, monitor_finished_10m => monitor_finished_10m ); Udut : marvell_loopback port map ( eth_reset_no => eth_reset_no, eth_coma_o => eth_coma_o, clk125 => clk125, clk50 => clk50, gmii_gtx_clk_o => gmii_gtx_clk_o, gmii_txd_o => gmii_txd_o, gmii_tx_en_o => gmii_tx_en_o, gmii_tx_er_o => gmii_tx_er_o, gmii_rxd_i => gmii_rxd_i, gmii_rx_dv_i => gmii_rx_dv_i, gmii_rx_er_i => gmii_rx_er_i, gmii_col_i => gmii_col_i, eth_int_ni => eth_int_ni, gmii_crs_i => gmii_crs_i, eth_mdc_o => eth_mdc_o, eth_md_io => eth_md_io, rst => rst, gmii_rx_clk_i => gmii_rx_clk_i, clk200 => clk200, led_rx_data_valid_o => led_rx_data_valid_o, led_rx_framedrop_o => led_rx_framedrop_o, led_tx_ack_o => led_tx_ack_o, led_tx_collision_o => led_tx_collision_o, led_tx_retransmit_o => led_tx_retransmit_o, mii_tx_clk_i => mii_tx_clk_i, tx_fifo_stat_o => tx_fifo_stat_o, rx_fifo_stat_o => rx_fifo_stat_o, led_rx_overflow_o => led_rx_overflow_o, led_tx_overflow_o => led_tx_overflow_o ); Utstmgr : marvell_loopback_tester port map ( clk125 => clk125, clk200 => clk200, clk50 => clk50, configuration_busy => configuration_busy, eth_int_ni => eth_int_ni, rst => rst, eth_md_io => eth_md_io ); end struct;