-- -- m_buff -- Matts BUFFer -- A little entity to make a small buff for the diags -- -- library ieee; use ieee.std_logic_1164.all; entity ll_enbuff is port( srci : in std_logic; sofi : in std_logic; eofi : in std_logic; dsto : out std_logic; srco : out std_logic; sofo : out std_logic; eofo : out std_logic; dsti : in std_logic; en : in std_logic ); -- Declarations end ll_enbuff; architecture rtl of ll_enbuff is begin srco <= srci and en; sofo <= sofi and en; eofo <= eofi and en; dsto <= dsti and en; end rtl;