-- -- m_buff -- Matts BUFFer -- A little entity to make a small buff for the diags -- -- LIBRARY ieee; USE ieee.std_logic_1164.all; entity m_00buff is port( i : in std_logic; o : out std_logic_vector(0 downto 0) ); -- Declarations end m_00buff ; architecture rtl of m_00buff is begin o(0) <= i after 100 ps; end rtl;