-- -- m_buff -- Matts BUFFer -- A little entity to make a small buff for the diags -- -- LIBRARY ieee; USE ieee.std_logic_1164.all; entity m_buff00 is port( i : in std_logic_vector(0 downto 0); o : out std_logic ); -- Declarations end m_buff00 ; architecture rtl of m_buff00 is begin o <= '1' when i="1" else '0'; end rtl;